TU Wien Informatics

20 Years

About

The scope of our research and teaching activities at the Embedded Computing Systems unit ranges from dependable and power-efficient digital circuits to future generation computer architectures to networked embedded systems and fault-tolerant distributed systems in general.

Nonwithstanding a clear focus on scientific research, the spectrum of our work ranges from formal-mathematical analysis to simulation-based experimental evaluation to prototype implementations. With respect to teaching, the ECS group is primarily responsible for related courses in the Bachelor’s and Master’s programs Technische Informatik (Computer Engineering).

The research Unit Embedded Computing Systems is part of the Institute of Computer Engineering.

Ulrich Schmid
Ulrich Schmid U. Schmid

Head of Research Unit
Univ.Prof. DI Dr.

Andreas Steininger
Andreas Steininger A. Steininger

Associate Professor
Ao.Univ.Prof. DI Dr.

Giorgio Cignarale
Giorgio Cignarale G. Cignarale

PreDoc Researcher
Mag.

Alessio Colucci
Alessio Colucci A. Colucci

PreDoc Researcher
Mag. / BSc

Stephan Felber
Stephan Felber S. Felber

PreDoc Researcher
DI / BSc

Florian Ferdinand Huemer
Florian Ferdinand Huemer F. Huemer

PostDoc Researcher
DI Dr. / BSc

Florian Kriebel
Florian Kriebel F. Kriebel

PreDoc Researcher
Dipl.-Inf.

Roman Kuznets
Roman Kuznets R. Kuznets

PostDoc Researcher
MPhil PhD

Thomas Schlögl
Thomas Schlögl T. Schlögl

PreDoc Researcher
DI / BSc

2023W

2024S

 

2024

  • What Proof Theory Can Do for You / Kuznets, R. (2024, January 17). What Proof Theory Can Do for You [Presentation]. Seminar on Applied Mathematical Logic 2024, Prague, Czechia.
    Project: ByzDEL (2020–2025)

2023

2022

2021

2020

2019

  • Design and Implementation of the Bachelor with Honors Program at TU Wien / Schmid, U. (2019). Design and Implementation of the Bachelor with Honors Program at TU Wien. European Honors Council. Journal, 3(2). https://doi.org/10.31378/jehc.3.2
  • An Overview of Recent Results for Consensus in Directed Dynamic Networks / Winkler, K., & Schmid, U. (2019). An Overview of Recent Results for Consensus in Directed Dynamic Networks. European Association for Theoretical Computer Science. Bulletin, 128, 30. http://hdl.handle.net/20.500.12708/143248
  • Configurable Models and Design Space Exploration for Low-Latency Approximate Adders / Hanif, M. A., Hafiz, R., & Shafique, M. (2019). Configurable Models and Design Space Exploration for Low-Latency Approximate Adders. In Approximate Circuits (pp. 3–23). Springer International Publishing. https://doi.org/10.1007/978-3-319-99322-5_1
  • Probabilistic Error Analysis of Approximate Adders and Multipliers / Mazahir, S., Ayub, M. K., Hasan, O., & Shafique, M. (2019). Probabilistic Error Analysis of Approximate Adders and Multipliers. In Approximate Circuits (pp. 99–120). Springer International Publishing. https://doi.org/10.1007/978-3-319-99322-5_5
  • Heterogeneous Approximate Multipliers: Architectures and Design Methodologies / Rehman, S., Prabakaran, B. S., El-Harouni, W., Shafique, M., & Henkel, J. (2019). Heterogeneous Approximate Multipliers: Architectures and Design Methodologies. In Approximate Circuits (pp. 45–66). Springer International Publishing. https://doi.org/10.1007/978-3-319-99322-5_3
  • Approximate Multi-Accelerator Tiled Architecture for Energy-Efficient Motion Estimation / Prabakaran, B. S., El-Harouni, W., Rehman, S., & Shafique, M. (2019). Approximate Multi-Accelerator Tiled Architecture for Energy-Efficient Motion Estimation. In Approximate Circuits (pp. 249–268). Springer International Publishing. https://doi.org/10.1007/978-3-319-99322-5_12
  • Hardware–Software Approximations for Deep Neural Networks / Hanif, M. A., Javed, M. U., Hafiz, R., Rehman, S., & Shafique, M. (2019). Hardware–Software Approximations for Deep Neural Networks. In Approximate Circuits (pp. 269–288). Springer International Publishing. https://doi.org/10.1007/978-3-319-99322-5_13
  • Energy-Efficient Design of Advanced Machine Learning Hardware / Hanif, M. A., Hafiz, R., Javed, M. U., Rehman, S., & Shafique, M. (2019). Energy-Efficient Design of Advanced Machine Learning Hardware. In Machine Learning in VLSI Computer-Aided Design (pp. 647–678). Springer International Publishing. https://doi.org/10.1007/978-3-030-04666-8_21
  • Through an Inference Rule, Darkly / Kuznets, R. (2019). Through an Inference Rule, Darkly. In S. Centrone, S. Negri, D. Sarikaya, & P. Schuster (Eds.), Mathesis Universalis, Computability and Proof (pp. 131–158). Springer International Publishing. https://doi.org/10.1007/978-3-030-20447-1_10
  • Approximate computing across the hardware and software stacks / Shafique, M., Hasan, O., Hafiz, R., Mazahir, S., Hanif, M. A., & Rehman, S. (2019). Approximate computing across the hardware and software stacks. In Many-Core Computing: Hardware and Software (pp. 497–522). IET. https://doi.org/10.1049/pbpc022e_ch20
  • MemGANs: Memory Management for Energy-Efficient Acceleration of Complex Computations in Hardware Architectures for Generative Adversarial Networks / Hanif, M. A., Zuhaib Akbar, M., Ahmed, R., Rehman, S., Jantsch, A., & Shafique, M. (2019). MemGANs: Memory Management for Energy-Efficient Acceleration of Complex Computations in Hardware Architectures for Generative Adversarial Networks. In 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 2019 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), Lausanne, Switzerland, EU. IEEE. https://doi.org/10.1109/islped.2019.8824833
  • Studying Aging and Soft Error Mitigation Jointly under Constrained Scenarios in Multi-Cores / Kriebel, F., Rehman, S., & Shafique, M. (2019). Studying Aging and Soft Error Mitigation Jointly under Constrained Scenarios in Multi-Cores. In 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS). 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rhodes, Greece, EU. IEEE. https://doi.org/10.1109/iolts.2019.8854444
  • Deep Learning for Edge Computing: Current Trends, Cross-Layer Optimizations, and Open Research Challenges / Marchisio, A., Hanif, M. A., Khalid, F., Plastiras, G., Kyrkou, C., Theocharides, T., & Shafique, M. (2019). Deep Learning for Edge Computing: Current Trends, Cross-Layer Optimizations, and Open Research Challenges. In 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Miami, USA, Non-EU. IEEE. https://doi.org/10.1109/isvlsi.2019.00105
  • QuSecNets: Quantization-based Defense Mechanism for Securing Deep Neural Network against Adversarial Attacks / Khalid, F., Ali, H., Tariq, H., Hanif, M. A., Rehman, S., Ahmed, R., & Shafique, M. (2019). QuSecNets: Quantization-based Defense Mechanism for Securing Deep Neural Network against Adversarial Attacks. In 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS). 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rhodes, Greece, EU. IEEE. https://doi.org/10.1109/iolts.2019.8854377
  • Towards Scalable Lifetime Reliability Management for Dark Silicon Manycore Systems / Rathore, V., Chaturvedi, V., Singh, A. K., Srikanthan, T., & Shafique, M. (2019). Towards Scalable Lifetime Reliability Management for Dark Silicon Manycore Systems. In 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS). 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rhodes, Greece, EU. IEEE. https://doi.org/10.1109/iolts.2019.8854454
  • TrISec: Training Data-Unaware Imperceptible Security Attacks on Deep Neural Networks / Khalid, F., Hanif, M. A., Rehman, S., Ahmed, R., & Shafique, M. (2019). TrISec: Training Data-Unaware Imperceptible Security Attacks on Deep Neural Networks. In 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS). 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS), Rhodes, Greece, EU. IEEE. https://doi.org/10.1109/iolts.2019.8854425
  • Verification of Randomized Consensus Algorithms Under Round-Rigid Adversaries / Bertrand, N., Konnov, I., Lazić, M., & Widder, J. (2019). Verification of Randomized Consensus Algorithms Under Round-Rigid Adversaries. In W. Fokkink & R. van Glabbeek (Eds.), 30th International Conference on Concurrency Theory (pp. 33:1-33:15). Schloss Dagstuhl - Leibniz-Zentrum fuer Informatik, Germany. https://doi.org/10.4230/LIPIcs.CONCUR.2019.33
  • Verifying Safety of Synchronous Fault-Tolerant Algorithms by Bounded Model Checking / Stoilkovska, I., Konnov, I., Widder, J., & Zuleger, F. (2019). Verifying Safety of Synchronous Fault-Tolerant Algorithms by Bounded Model Checking. In International Conference on Tools and Algorithms for the Construction and Analysis of Systems (pp. 357–374). Springer. http://hdl.handle.net/20.500.12708/56804
  • An Experimental Study of Metastability-Induced Glitching Behavior / Polzer, T., Huemer, F., & Steininger, A. (2019). An Experimental Study of Metastability-Induced Glitching Behavior. Journal of Circuits, Systems, and Computers, 28(supp01), 1940006. https://doi.org/10.1142/s0218126619400061
  • A Characterization of Consensus Solvability for Closed Message Adversaries / Winkler, K., Schmid, U., & Moses, Y. (2019). A Characterization of Consensus Solvability for Closed Message Adversaries. In P. Felber, R. Friedman, S. Gilbert, & A. Miller (Eds.), 23rd International Conference on Principles of Distributed Systems (pp. 17:1-17:16). Schloss Dagstuhl--Leibniz-Zentrum fuer Informatik. https://doi.org/10.4230/LIPIcs.OPODIS.2019.17
  • Sustainable Security & Safety: Challenges and Opportunities / Paverd, A., Völp, M., Brasser, F., Schunter, M., Asokan, N., Sadeghi, A.-R., Esteves-Verissimo, P., Steininger, A., & Holz, T. (2019). Sustainable Security & Safety: Challenges and Opportunities. In M. Asplund & M. Paulitsch (Eds.), Proceedings 4th International Workshop on Security and Dependability of Critical Embedded Real-Time Systems (CERTS 2019) (p. 13). Schloss Dagstuhl--Leibniz-Zentrum fuer Informatik. https://doi.org/10.4230/OASIcs.CERTS.2019.4
    Project: Intel CARS (2017–2019)
  • SalvageDNN: Salvaging Deep Neural Network Accelerators with Permanent Faults through Saliency-driven Fault-aware Mapping / Abdullah Hanif, M., & Shafique, M. (2019). SalvageDNN: Salvaging Deep Neural Network Accelerators with Permanent Faults through Saliency-driven Fault-aware Mapping. Philosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences, 378(2164), 20190164. https://doi.org/10.1098/rsta.2019.0164
  • ECAx: Balancing Error Correction Costs in Approximate Accelerators / Castro-Godínez, J., Shafique, M., & Henkel, J. (2019). ECAx: Balancing Error Correction Costs in Approximate Accelerators. ACM Transactions on Embedded Computing Systems, 18(5s), 1–20. https://doi.org/10.1145/3358179
  • m-SAAC: Multi-stage Adaptive Approximation Control to Select Approximate Computing Modes for Vision Applications / Amjad, R., Hafiz, R., Ilyas, M. U., Younis, M. S., & Shafique, M. (2019). m-SAAC: Multi-stage Adaptive Approximation Control to Select Approximate Computing Modes for Vision Applications. Microelectronics Journal, 91, 84–91. https://doi.org/10.1016/j.mejo.2019.07.010
  • Towards Model Checking-Driven Fair Comparison of Dynamic Thermal Management Techniques under Multi-Threaded Workloads / Bukhari, S. A. A., Khalid, F., Hasan, O., Shafique, M., & Henkel, J. (2019). Towards Model Checking-Driven Fair Comparison of Dynamic Thermal Management Techniques under Multi-Threaded Workloads. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(8), 1725–1738. https://doi.org/10.1109/tcad.2019.2921313
  • X-CGRA: An Energy-Efficient Approximate Coarse-Grained Reconfigurable Architecture / Akbari, O., Kamal, M., Afzali-Kusha, A., Pedram, M., & Shafique, M. (2019). X-CGRA: An Energy-Efficient Approximate Coarse-Grained Reconfigurable Architecture. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(10), 2558–2571. https://doi.org/10.1109/tcad.2019.2937738
  • Application and Thermal-reliability-aware Reinforcement Learning Based Multi-core Power Management / Dinakarrao, S. M. P., Joseph, A., Haridass, A., Shafique, M., Henkel, J., & Homayoun, H. (2019). Application and Thermal-reliability-aware Reinforcement Learning Based Multi-core Power Management. ACM Journal on Emerging Technologies in Computing Systems, 15(4), 1–19. https://doi.org/10.1145/3323055
  • MACISH: Designing Approximate MAC Accelerators With Internal-Self-Healing / Gillani, G. A., Hanif, M. A., Verstoep, B., Gerez, S. H., Shafique, M., & Kokkeler, A. B. J. (2019). MACISH: Designing Approximate MAC Accelerators With Internal-Self-Healing. IEEE Access, 7, 77142–77160. https://doi.org/10.1109/access.2019.2920335
  • Self-Compensating Accelerators for Efficient Approximate Computing / Mazahir, S., Hasan, O., & Shafique, M. (2019). Self-Compensating Accelerators for Efficient Approximate Computing. Microelectronics Journal, 88, 9–17. https://doi.org/10.1016/j.mejo.2019.03.008
  • On the Effect of Approximate-Computing in Motion Estimation / Paltrinieri, A., Peloso, R., Masera, G., Shafique, M., & Martina, M. (2019). On the Effect of Approximate-Computing in Motion Estimation. Journal of Low Power Electronics, 15(1), 40–50. https://doi.org/10.1166/jolpe.2019.1592
  • Computer-aided arrhythmia diagnosis with bio-signal processing: A survey of trends and techniques / Dinakarrao, S. M. P., Jantsch, A., & Shafique, M. (2019). Computer-aided arrhythmia diagnosis with bio-signal processing: A survey of trends and techniques. ACM Computing Surveys, 52(2), 1–37. https://doi.org/10.1145/3297711
  • Special Issue "Selected Papers from the 24th IEEE International Symposium on Asynchronous Circuits and Systems - ASYNC 2018" / Krstic, M., Jones, I., Steininger, A., & Függer, M. (2019). Special Issue “Selected Papers from the 24th IEEE International Symposium on Asynchronous Circuits and Systems - ASYNC 2018.” Journal of Low Power Electronics and Applications, 9(2), 2. http://hdl.handle.net/20.500.12708/143834
  • Consensus in rooted dynamic networks with short-lived stability / Winkler, K., Schwarz, M., & Schmid, U. (2019). Consensus in rooted dynamic networks with short-lived stability. Distributed Computing, 32(5), 443–458. https://doi.org/10.1007/s00446-019-00348-0
  • Novel Approaches for Efficient Delay-Insensitive Communication / Huemer, F., & Steininger, A. (2019). Novel Approaches for Efficient Delay-Insensitive Communication. Journal of Low Power Electronics and Applications, 9(2), 16. https://doi.org/10.3390/jlpea9020016
  • On Linear-Time Data Dissemination in Dynamic Rooted Trees / Zeiner, M., Schwarz, M., & Schmid, U. (2019). On Linear-Time Data Dissemination in Dynamic Rooted Trees. Discrete Applied Mathematics, 255, 307–319. https://doi.org/10.1016/j.dam.2018.08.015
  • A Roadmap Toward the Resilient Internet of Things for Cyber-Physical Systems / Ratasich, D., Khalid, F., Geissler, F., Grosu, R., Shafique, M., & Bartocci, E. (2019). A Roadmap Toward the Resilient Internet of Things for Cyber-Physical Systems. IEEE Access, 7, 13260–13283. https://doi.org/10.1109/access.2019.2891969
  • Maehara-style Modal Nested Calculi / Kuznets, R., & Straßburger, L. (2019). Maehara-style Modal Nested Calculi. Archive for Mathematical Logic, 58(3–4), 359–385. https://doi.org/10.1007/s00153-018-0636-1
  • Unified (A)Synchronous Circuit Development / Paulweber, P., Maier, J., & Cortadella, J. (2019). Unified (A)Synchronous Circuit Development. 25th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2019), Hirosaki, Japan, Non-EU. http://hdl.handle.net/20.500.12708/86909
  • Translating Quantitative Semantic Bounds into Nested Sequents / Kuznets, R., & Lellmann, B. (2019). Translating Quantitative Semantic Bounds into Nested Sequents. Fifth TICAMORE MEETING, Wien, Austria. http://hdl.handle.net/20.500.12708/86976
  • Time and Retrocausality in Distributed Systems / Kuznets, R. (2019). Time and Retrocausality in Distributed Systems. Goedel’s Legacy, Wien, Austria. http://hdl.handle.net/20.500.12708/86975
  • Digital Modeling of Asynchronous Integrated Circuits / Schmid, U. (2019). Digital Modeling of Asynchronous Integrated Circuits. 2nd Workshop on Hardware Design and Theory (https://sites.google.com/view/motimedina/hdt-2019, colocated with DISC 2019), Budapest, EU. http://hdl.handle.net/20.500.12708/86974
  • Byzantine Causal Cone / Kuznets, R., Prosperi, L., Schmid, U., & Fruzsa, K. (2019). Byzantine Causal Cone. Workshop on Formal Reasoning in Distributed Algorithms (FRiDA), Wien, Austria. http://hdl.handle.net/20.500.12708/86905
  • Causality in the Age of Fake News / Kuznets, R. (2019). Causality in the Age of Fake News. Seminar “Logic and Theoretical Computer Science”, University of Bern (2019), Bern, Non-EU. http://hdl.handle.net/20.500.12708/86904
  • Extrapolating Interpolation / Kuznets, R. (2019). Extrapolating Interpolation. Proof Theory in Logic workshop, Utrecht, EU. http://hdl.handle.net/20.500.12708/86880
  • A Systematic Approach to Clock Failure Detection / Steininger, A., & Schwendinger, M. (2019). A Systematic Approach to Clock Failure Detection. In 2019 Austrochip Workshop on Microelectronics (Austrochip). Austrochip Workshop on Microelectronics, Wien, Austria. https://doi.org/10.1109/austrochip.2019.00018
    Project: Intel CARS (2017–2019)
  • CapsAttacks: Robust and Imperceptible Adversarial Attacks on Capsule Networks / Marchisio, A., Nanfa, G., Khalid, F., Hanif, M. A., Martina, M., & Shafique, M. (2019). CapsAttacks: Robust and Imperceptible Adversarial Attacks on Capsule Networks. In Proceedings of Workshop on Uncertainty and Robustness in Deep Learning (UDL) 2019 at ICML’19 (pp. 1–9). http://hdl.handle.net/20.500.12708/58025
  • FAdeML: Understanding the Impact of Pre-Processing Noise Filtering on Adversarial Machine Learning / Khalid, F., Hanif, M. A., Rehman, S., Qadir, J., & Shafique, M. (2019). FAdeML: Understanding the Impact of Pre-Processing Noise Filtering on Adversarial Machine Learning. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2019 IEEE/ACM Design, Automation and Test in Europe Conference (DATE’19), Florence, Italy, EU. IEEE. https://doi.org/10.23919/date.2019.8715141
  • CapsAcc: An Efficient Hardware Accelerator for CapsuleNets with Data Reuse / Marchisio, A., Hanif, M. A., & Shafique, M. (2019). CapsAcc: An Efficient Hardware Accelerator for CapsuleNets with Data Reuse. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2019 IEEE/ACM Design, Automation and Test in Europe Conference (DATE’19), Florence, Italy, EU. IEEE. https://doi.org/10.23919/date.2019.8714922
  • TransRec: Improving Adaptability in Single-ISA Heterogeneous Systems with Transparent and Reconfigurable Acceleration / Brandalero, M., Shafique, M., Carro, L., & Beck, A. C. S. (2019). TransRec: Improving Adaptability in Single-ISA Heterogeneous Systems with Transparent and Reconfigurable Acceleration. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2019 IEEE/ACM Design, Automation and Test in Europe Conference (DATE’19), Florence, Italy, EU. IEEE. https://doi.org/10.23919/date.2019.8715121
  • TrojanZero: Switching Activity-Aware Design of Undetectable Hardware Trojans with Zero Power and Area Footprint / Abbassi, I. H., Khalid, F., Rehman, S., Kamboh, A. M., Jantsch, A., Garg, S., & Shafique, M. (2019). TrojanZero: Switching Activity-Aware Design of Undetectable Hardware Trojans with Zero Power and Area Footprint. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2019 IEEE/ACM Design, Automation and Test in Europe Conference (DATE’19), Florence, Italy, EU. IEEE. https://doi.org/10.23919/date.2019.8714829
  • Thermal-Awareness in a Soft Error Tolerant Architecture / Hussain, S., Shafique, M., & Henkel, J. (2019). Thermal-Awareness in a Soft Error Tolerant Architecture. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2019 IEEE/ACM Design, Automation and Test in Europe Conference (DATE’19), Florence, Italy, EU. IEEE. https://doi.org/10.23919/date.2019.8715105
  • A Fine-Grained Soft Error Resilient Architecture under Power Considerations / Hussain, S., Shafique, M., & Henkel, J. (2019). A Fine-Grained Soft Error Resilient Architecture under Power Considerations. In 2019 Design, Automation & Test in Europe Conference & Exhibition (DATE). 2019 IEEE/ACM Design, Automation and Test in Europe Conference (DATE’19), Florence, Italy, EU. IEEE. https://doi.org/10.23919/date.2019.8714797
  • ALWANN: Automatic Layer-Wise Approximation of Deep Neural Network Accelerators without Retraining / Mrazek, V., Vasicek, Z., Sekanina, L., Hanif, M. A., & Shafique, M. (2019). ALWANN: Automatic Layer-Wise Approximation of Deep Neural Network Accelerators without Retraining. In Proceeding of 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’19) (pp. 1–8). IEEE. http://hdl.handle.net/20.500.12708/58006
  • Topological Characterization of Consensus under General Message Adversaries / Nowak, T., Schmid, U., & Winkler, K. (2019). Topological Characterization of Consensus under General Message Adversaries. In Proceedings of the 2019 ACM Symposium on Principles of Distributed Computing. 38th ACM Symposium on Principles of Distributed Computing (PODC’19), Toronto, Canada, Non-EU. ACM. https://doi.org/10.1145/3293611.3331624
  • Causality and Epistemic Reasoning in Byzantine Multi-Agent Systems / Kuznets, R., Prosperi, L., Schmid, U., & Fruzsa, K. (2019). Causality and Epistemic Reasoning in Byzantine Multi-Agent Systems. In L. Moss (Ed.), Electronic Proceedings in Theoretical Computer Science (pp. 293–312). Electronic Proceedings in Theoretical Computer Science. https://doi.org/10.4204/eptcs.297.19
  • XBioSiP / Prabakaran, B. S., Rehman, S., & Shafique, M. (2019). XBioSiP. In Proceedings of the 56th Annual Design Automation Conference 2019. 2019 56th ACM/IEEE Design Automation Conference (DAC), Las Vegas, USA, Non-EU. IEEE. https://doi.org/10.1145/3316781.3317933
  • CANN / Hanif, M. A., Khalid, F., & Shafique, M. (2019). CANN. In Proceedings of the 56th Annual Design Automation Conference 2019. 2019 56th ACM/IEEE Design Automation Conference (DAC), Las Vegas, USA, Non-EU. IEEE. https://doi.org/10.1145/3316781.3317787
  • autoAx / Mrazek, V., Hanif, M. A., Vasicek, Z., Sekanina, L., & Shafique, M. (2019). autoAx. In Proceedings of the 56th Annual Design Automation Conference 2019. 2019 56th ACM/IEEE Design Automation Conference (DAC), Las Vegas, USA, Non-EU. IEEE. https://doi.org/10.1145/3316781.3317781
  • Security Vulnerabilities of Deep, Capsule and Spiking Neural Networks against Adversarial Attacks / Marchisio, A., Nanfa, G., Martina, M., & Shafique, M. (2019). Security Vulnerabilities of Deep, Capsule and Spiking Neural Networks against Adversarial Attacks. In Proceedings of IEEE International Workshop on Robust and Trustworthy Machine Learning (RTML) 2019 (pp. 1–4). http://hdl.handle.net/20.500.12708/55718
  • Building Robust Machine Learning Systems / Zang, J. (Jun), Liu, K., Khalid, F., Hanif, M. A., Rehman, S., Theocharides, T., Artussi, A., Shafique, M., & Garg, S. (2019). Building Robust Machine Learning Systems. In Proceedings of the 56th Annual Design Automation Conference 2019. 2019 56th ACM/IEEE Design Automation Conference (DAC), Las Vegas, USA, Non-EU. IEEE. https://doi.org/10.1145/3316781.3323472
  • Life Guard: A Reinforcement Learning-Based Task Mapping Strategy for Performance-Centric Aging Managemen / Rathore, V., Chaturvedi, V., Singh, A. K., Srikanthan, T., & Shafique, M. (2019). Life Guard: A Reinforcement Learning-Based Task Mapping Strategy for Performance-Centric Aging Managemen. In Proceedings of the 56th Annual Design Automation Conference 2019. 2019 56th ACM/IEEE Design Automation Conference (DAC), Las Vegas, USA, Non-EU. IEEE. https://doi.org/10.1145/3316781.3317849
  • A Topological View of Partitioning Arguments: Reducing k-Set Agreement to Consensus / Rincon Galeana, H., Winkler, K., Schmid, U., & Rajsbaum, S. (2019). A Topological View of Partitioning Arguments: Reducing k-Set Agreement to Consensus. In Lecture Notes in Computer Science (pp. 307–322). Lecture Notes in Computer Science / Springer. https://doi.org/10.1007/978-3-030-34992-9_25
  • Hope for Epistemic Reasoning with Faulty Agents! / Fruzsa, K. (2019). Hope for Epistemic Reasoning with Faulty Agents! In ESSLLI 2019 Student Session (pp. 169–180). http://hdl.handle.net/20.500.12708/56972
  • Epistemic Reasoning with Byzantine-Faulty Agents / Kuznets, R., Prosperi, L., Schmid, U., & Fruzsa, K. (2019). Epistemic Reasoning with Byzantine-Faulty Agents. In A. Herzig & A. Popescu (Eds.), Frontiers of Combining Systems (pp. 259–276). Springer. https://doi.org/10.1007/978-3-030-29007-8_15
  • 2019 Principles of Distributed Computing Doctoral Dissertation Award / Jayanti, P., Lynch, N. A., Patt-Shamir, B., & Schmid, U. (2019). 2019 Principles of Distributed Computing Doctoral Dissertation Award. In Proceedings of the 2019 ACM Symposium on Principles of Distributed Computing. ACM. https://doi.org/10.1145/3293611.3341565
  • Fault-tolerant High-Performance Clock Distribution / Kinali, A., Lenzen, C., & Perner, M. (2019). Fault-tolerant High-Performance Clock Distribution (TUW-278925). http://hdl.handle.net/20.500.12708/39734
  • A Topological View of Partitioning Arguments: Reducing k-Set Agreement to Consensus / Rincon Galeana, H., Winkler, K., Schmid, U., & Rajsbaum, S. (2019). A Topological View of Partitioning Arguments: Reducing k-Set Agreement to Consensus (TUW-281149). http://hdl.handle.net/20.500.12708/39728
  • Knowledge in Byzantine Message-Passing Systems I: Framework and the Causal Cone / Prosperi, L., Kuznets, R., Schmid, U., Fruzsa, K., & Gréaux, L. (2019). Knowledge in Byzantine Message-Passing Systems I: Framework and the Causal Cone (TUW-260549). http://hdl.handle.net/20.500.12708/39204
  • Logics of Proofs and Justifications / Kuznets, R., & Studer, T. (2019). Logics of Proofs and Justifications. College Publications. http://hdl.handle.net/20.500.12708/24606
  • Approximate Circuits / Reda, S., & Shafique, M. (Eds.). (2019). Approximate Circuits. Springer International Publishing. https://doi.org/10.1007/978-3-319-99322-5

2018

2017

  • Foreword / Steininger, A., Pawlak, A., & Stopjakova, V. (2017). Foreword. Journal of Circuits, Systems, and Computers, 26(08), Article 1702001. https://doi.org/10.1142/s0218126617020017
  • Optimal Greedy Algorithm for Many-Core Scheduling / Pathania, A., Venkataramani, V., Shafique, M., Mitra, T., & Henkel, J. (2017). Optimal Greedy Algorithm for Many-Core Scheduling. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36(6), 1054–1058. https://doi.org/10.1109/tcad.2016.2618880
  • Modeling the CMOS Inverter using Hybrid Systems / Maier, J. (2017). Modeling the CMOS Inverter using Hybrid Systems. https://resolver.obvsg.at/urn:nbn:at:at-ubtuw:3-10163
    Download: PDF (437 KB)
    Project: SIC (2013–2018)
  • Design Space Exploration and Run-Time Adaptation for Multicore Resource Management Under Performance and Power Constraints / Pagani, S., Shafique, M., & Henkel, J. (2017). Design Space Exploration and Run-Time Adaptation for Multicore Resource Management Under Performance and Power Constraints. In Handbook of Hardware/Software Codesign (pp. 301–332). Springer Science+Business Media. https://doi.org/10.1007/978-94-017-7267-9_11
  • Adroit Use of Dark Silicon for Power, Performance and Reliability Optimisation of NoCs / Bokhari, H., Shafique, M., Henkel, J., & Parameswaran, S. (2017). Adroit Use of Dark Silicon for Power, Performance and Reliability Optimisation of NoCs. In The Dark Side of Silicon (pp. 291–325). Springer International Publishing. https://doi.org/10.1007/978-3-319-31596-6_11
  • Thermal Safe Power: Efficient Thermal-Aware Power Budgeting for Manycore Systems in Dark Silicon / Pagani, S., Khdr, H., Chen, J.-J., Shafique, M., Li, M., & Henkel, J. (2017). Thermal Safe Power: Efficient Thermal-Aware Power Budgeting for Manycore Systems in Dark Silicon. In The Dark Side of Silicon (pp. 125–158). Springer International Publishing. https://doi.org/10.1007/978-3-319-31596-6_5
  • Setup for an Experimental Study of Radiation Effects in 65nm CMOS / Fritz, B., Veeravalli, V. S., Steininger, A., & Simek, V. (2017). Setup for an Experimental Study of Radiation Effects in 65nm CMOS. In 2017 Euromicro Conference on Digital System Design (DSD). 20th Euromicro Conference on Digital System Design, Wien, Austria. https://doi.org/10.1109/dsd.2017.60
  • Synthesis of Distributed Algorithms with Parameterized Threshold Guards / Lazić, M., Konnov, I., Widder, J., & Bloem, R. (2017). Synthesis of Distributed Algorithms with Parameterized Threshold Guards. In J. Aspnes, A. Bessani, P. Felber, & J. Leitao (Eds.), 21st International Conference on Principles of Distributed Systems (OPODIS 2017) (pp. 32:1-32:20). LIPIcs-Leibniz International Proceedings in Informatics. https://doi.org/10.4230/LIPIcs.OPODIS.2017.32
  • A Model for the Metastability Delay of Sequential Elements / Polzer, T., & Steininger, A. (2017). A Model for the Metastability Delay of Sequential Elements. Journal of Circuits, Systems, and Computers, 26(08), 1740010. https://doi.org/10.1142/s0218126617400102
  • New transience bounds for max-plus linear systems / Charron-Bost, B., Függer, M., & Nowak, T. (2017). New transience bounds for max-plus linear systems. Discrete Applied Mathematics, 219, 83–99. https://doi.org/10.1016/j.dam.2016.11.003
  • Probabilistic Error Analysis of Approximate Recursive Multipliers / Mazahir, S., Hasan, O., Hafiz, R., & Shafique, M. (2017). Probabilistic Error Analysis of Approximate Recursive Multipliers. IEEE Transactions on Computers, 66(11), 1982–1990. https://doi.org/10.1109/tc.2017.2709542
  • Computing in the Dark Silicon Era: Current Trends and Research Challenges / Shafique, M., & Garg, S. (2017). Computing in the Dark Silicon Era: Current Trends and Research Challenges. IEEE Design and Test, 34(2), 8–23. https://doi.org/10.1109/mdat.2016.2633408
  • Energy Efficiency for Clustered Heterogeneous Multicores / Pagani, S., Pathania, A., Shafique, M., Chen, J.-J., & Henkel, J. (2017). Energy Efficiency for Clustered Heterogeneous Multicores. IEEE Transactions on Parallel and Distributed Systems, 28(5), 1315–1330. https://doi.org/10.1109/tpds.2016.2623616
  • Defragmentation of Tasks in Many-Core Architecture / Pathania, A., Venkataramani, V., Shafique, M., Mitra, T., & Henkel, J. (2017). Defragmentation of Tasks in Many-Core Architecture. ACM Transactions on Architecture and Code Optimization, 14(1), 1–21. https://doi.org/10.1145/3050437
  • Fine-Grained Checkpoint Recovery for Application-Specific Instruction-Set Processors / Li, T., Shafique, M., Ambrose, J. A., Henkel, J., & Parameswaran, S. (2017). Fine-Grained Checkpoint Recovery for Application-Specific Instruction-Set Processors. IEEE Transactions on Computers, 66(4), 647–660. https://doi.org/10.1109/tc.2016.2606378
  • Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding / Shafique, M., Rehman, S., Kriebel, F., Khan, M. U. K., Zatt, B., Subramaniyan, A., Vizzotto, B. B., & Henkel, J. (2017). Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding. IEEE Transactions on Computers, 66(4), 560–574. https://doi.org/10.1109/tc.2016.2616313
  • Probabilistic Error Modeling for Approximate Adders / Mazahir, S., Hasan, O., Hafiz, R., Shafique, M., & Henkel, J. (2017). Probabilistic Error Modeling for Approximate Adders. IEEE Transactions on Computers, 66(3), 515–530. https://doi.org/10.1109/tc.2016.2605382
  • Power Density-Aware Resource Management for Heterogeneous Tiled Multicores / Khdr, H., Pagani, S., Sousa, E., Lari, V., Pathania, A., Hannig, F., Shafique, M., Teich, J., & Henkel, J. (2017). Power Density-Aware Resource Management for Heterogeneous Tiled Multicores. IEEE Transactions on Computers, 66(3), 488–501. https://doi.org/10.1109/tc.2016.2595560
  • Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon / Pagani, S., Khdr, H., Chen, J.-J., Shafique, M., Li, M., & Henkel, J. (2017). Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon. IEEE Transactions on Computers, 66(1), 147–162. https://doi.org/10.1109/tc.2016.2564969
  • A versatile architecture for long-term monitoring of single-event transient durations / Savulimedu Veeravalli, V., Steininger, A., & Schmid, U. (2017). A versatile architecture for long-term monitoring of single-event transient durations. Microprocessors and Microsystems, 53, 130–144. https://doi.org/10.1016/j.micpro.2017.07.007
  • Para^2: Parameterized Path Reduction, Acceleration, and SMT for Reachability in Threshold-Guarded Distributed Algorithms / Konnov, I., Lazić, M., Veith, H., & Widder, J. (2017). Para^2: Parameterized Path Reduction, Acceleration, and SMT for Reachability in Threshold-Guarded Distributed Algorithms. Formal Methods in System Design, 51(2), 270–307. https://doi.org/10.1007/s10703-017-0297-4
  • Guest Editors' Introduction: Computing in the Dark Silicon Era / Shafique, M., Garg, S., & Chandra, V. (2017). Guest Editors’ Introduction: Computing in the Dark Silicon Era. IEEE Design and Test, 34(2), 5–7. https://doi.org/10.1109/mdat.2017.2651065
  • On the completeness of bounded model checking for threshold-based distributed algorithms: Reachability / Konnov, I., Veith, H., & Widder, J. (2017). On the completeness of bounded model checking for threshold-based distributed algorithms: Reachability. Information and Computation, 252, 95–109. https://doi.org/10.1016/j.ic.2016.03.006
  • Approximate Networking for Universal Internet Access / Qadir, J., Sathiaseelan, A., Farooq, U., Usama, M., Imran, M., & Shafique, M. (2017). Approximate Networking for Universal Internet Access. Future Internet, 9(4), 94. https://doi.org/10.3390/fi9040094
  • Approximate Computing across the Hardware and Software Stacks / Shafique, M. (2017). Approximate Computing across the Hardware and Software Stacks. Invited Talks at TU Eindhoven, TU Eindhoven, Netherlands, EU. http://hdl.handle.net/20.500.12708/86684
  • Cross-Layer Approximate Computing: From Circuits to Applications / Shafique, M. (2017). Cross-Layer Approximate Computing: From Circuits to Applications. Invited Talks at University of Twente, University of Twente, Netherlands, EU. http://hdl.handle.net/20.500.12708/86683
  • Low-Power Computing and Emerging Trends / Shafique, M. (2017). Low-Power Computing and Emerging Trends. CPS Summer School 2017, Porto Contr Ricerche, Alghero, Italy, EU. http://hdl.handle.net/20.500.12708/86679
  • Enabling Extreme Energy-Efficiency through Brain-Inspired Computing Trends: From Approximate to Neural Processing / Shafique, M. (2017). Enabling Extreme Energy-Efficiency through Brain-Inspired Computing Trends: From Approximate to Neural Processing. 15th International Conference On Frontiers of Information Technology (FIT’17), Islamabad, Pakistan, Non-EU. http://hdl.handle.net/20.500.12708/86678
  • Emerging Brain-Inspired Computing Trends: From Approximate Computing to Neural Processing / Shafique, M. (2017). Emerging Brain-Inspired Computing Trends: From Approximate Computing to Neural Processing. International Conference On Latest Trends in Electrical Engineering and Computing Technologies (INTELLECT’17), Karachi, Pakistan, Non-EU. http://hdl.handle.net/20.500.12708/86677
  • Robust Heterogeneous Computing for CPS / Shafique, M. (2017). Robust Heterogeneous Computing for CPS. CPS Summer School 2017, Porto Contr Ricerche, Alghero, Italy, EU. http://hdl.handle.net/20.500.12708/86575
  • The Byzantine Mind / Kuznets, R. (2017). The Byzantine Mind. Seminar Logic and Theoretical Computer Science, University of Bern (2017), Bern, Schweiz, Non-EU. http://hdl.handle.net/20.500.12708/86565
  • Maehara-style Modal Nested Calculi / Kuznets, R., & Straßburger, L. (2017). Maehara-style Modal Nested Calculi (RR-9123). http://hdl.handle.net/20.500.12708/39305
  • A Self-Healing Framework for Building Resilient Cyber-Physical Systems / Ratasich, D., Höftberger, O., Isakovic, H., Shafique, M., & Grosu, R. (2017). A Self-Healing Framework for Building Resilient Cyber-Physical Systems. In 2017 IEEE 20th International Symposium on Real-Time Distributed Computing (ISORC). 20th IEEE International Symposium on Real-Time Computing (ISORC 2017), Toronto, Canada, Non-EU. IEEE. https://doi.org/10.1109/isorc.2017.7
  • A Critical Charge Model for Estimating the SET and SEU Sensitivity: A Muller C-Element Case Study / Andjelkovic, M., Krstic, M., Kraemer, R., Veeravalli, V. S., & Steininger, A. (2017). A Critical Charge Model for Estimating the SET and SEU Sensitivity: A Muller C-Element Case Study. In Proceedings of the 26th IEEE Asian Test Symposium (ATS´17) (pp. 1–6). http://hdl.handle.net/20.500.12708/57265
  • Adaptive and Energy-Efficient Architectures for Machine Learning: Challenges, Opportunities, and Research Roadmap / Shafique, M., Hafiz, R., Javed, M. U., Abbas, S., Sekanina, L., Vasicek, Z., & Mrazek, V. (2017). Adaptive and Energy-Efficient Architectures for Machine Learning: Challenges, Opportunities, and Research Roadmap. In 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI’17), 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI’17), EU. IEEE. https://doi.org/10.1109/isvlsi.2017.124
  • Low-overhead Aging-aware Resource Management on Embedded GPUs / Lee, H., Shafique, M., & Al Faruque, M. A. (2017). Low-overhead Aging-aware Resource Management on Embedded GPUs. In Proceedings of the 54th Annual Design Automation Conference 2017. 2017 ACM/EDAC/IEEE 54th Design Automation Conference (DAC’17), Austin, Texas, USA, Non-EU. ACM. https://doi.org/10.1145/3061639.3062277
  • QuAd / Hanif, M. A., Hafiz, R., Hasan, O., & Shafique, M. (2017). QuAd. In Proceedings of the 54th Annual Design Automation Conference 2017. 2017 ACM/EDAC/IEEE 54th Design Automation Conference (DAC’17), Austin, Texas, USA, Non-EU. ACM. https://doi.org/10.1145/3061639.3062306
  • Statistical Error Analysis for Low Power Approximate Adders / Ayub, M. K., Hasan, O., & Shafique, M. (2017). Statistical Error Analysis for Low Power Approximate Adders. In Proceedings of the 54th Annual Design Automation Conference 2017. 2017 ACM/EDAC/IEEE 54th Design Automation Conference (DAC’17), Austin, Texas, USA, Non-EU. ACM. https://doi.org/10.1145/3061639.3062319
  • Embracing approximate computing for energy-efficient motion estimation in high efficiency video coding / El-Harouni, W., Rehman, S., Prabakaran, B. S., Kumar, A., Hafiz, R., & Shafique, M. (2017). Embracing approximate computing for energy-efficient motion estimation in high efficiency video coding. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017. 2017 IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE’17), Lausanne, Switzerland, EU. IEEE. https://doi.org/10.23919/date.2017.7927209
  • Soft error-aware architectural exploration for designing reliability adaptive cache hierarchies in multi-cores / Subramaniyan, A., Rehman, S., Shafique, M., Kumar, A., & Henkel, J. (2017). Soft error-aware architectural exploration for designing reliability adaptive cache hierarchies in multi-cores. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017. 2017 IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE’17), Lausanne, Switzerland, EU. IEEE. https://doi.org/10.23919/date.2017.7926955
  • Scalable probabilistic power budgeting for many-cores / Pathania, A., Khdr, H., Shafique, M., Mitra, T., & Henkel, J. (2017). Scalable probabilistic power budgeting for many-cores. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017. 2017 IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE’17), Lausanne, Switzerland, EU. IEEE. https://doi.org/10.23919/date.2017.7927108
  • CAnDy-TM: Comparative analysis of dynamic thermal management in many-cores using model checking / Bukhari, S. A. A., Lodhi, F. K., Hasan, O., Shafique, M., & Henkel, J. (2017). CAnDy-TM: Comparative analysis of dynamic thermal management in many-cores using model checking. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017. 2017 IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE’17), Lausanne, Switzerland, EU. IEEE. https://doi.org/10.23919/date.2017.7927191
  • Secure Cyber-Physical Systems: Current trends, tools and open research problems / Chattopadhyay, A., Prakash, A., & Shafique, M. (2017). Secure Cyber-Physical Systems: Current trends, tools and open research problems. In Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017. 2017 IEEE/ACM 20th Design, Automation and Test in Europe Conference (DATE’17), Lausanne, Switzerland, EU. IEEE. https://doi.org/10.23919/date.2017.7927154
  • On Linear-Time Data Dissemination in Dynamic Rooted Trees / Zeiner, M., Schmid, U., & Schwarz, M. (2017). On Linear-Time Data Dissemination in Dynamic Rooted Trees. In 19th ÖMG Congress and Annual DMV Meetig Program and Books of Abstracts (p. 87). http://hdl.handle.net/20.500.12708/57124
  • Measuring metastability using a time-to-digital converter / Polzer, T., Huemer, F., & Steininger, A. (2017). Measuring metastability using a time-to-digital converter. In 2017 IEEE 20th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS). 20th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Dresden, EU. IEEE Service Center. https://doi.org/10.1109/ddecs.2017.7934582
  • Measuring Metastability with Free-Running Clocks / Najvirt, R., Polzer, T., & Steininger, A. (2017). Measuring Metastability with Free-Running Clocks. In 2017 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC). 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2017), San Diego, California, Non-EU. IEEE Computer Society. https://doi.org/10.1109/async.2017.18
  • A short counterexample property for safety and liveness verification of fault-tolerant distributed algorithms / Konnov, I., Lazić, M., Veith, H., & Widder, J. (2017). A short counterexample property for safety and liveness verification of fault-tolerant distributed algorithms. In Proceedings of the 44th ACM SIGPLAN Symposium on Principles of Programming Languages. 44th ACM SIGPLAN Symposium on Principles of Programming Languages (POPL), Paris, France, EU. ACM. https://doi.org/10.1145/3009837.3009860
  • Metastability Tolerant Computing / Tarawneh, G., Függer, M., & Lenzen, C. (2017). Metastability Tolerant Computing. In 2017 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC). 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2017), San Diego, California, Non-EU. IEEE Computer Society. https://doi.org/10.1109/async.2017.9
  • Brief Announcement: Lower Bounds for Asymptotic Consensus in Dynamic Networks / Függer, M., Nowak, T., & Schwarz, M. (2017). Brief Announcement: Lower Bounds for Asymptotic Consensus in Dynamic Networks. In Leibniz International Proceedings in Informatics (LIPIcs) (p. 3). https://doi.org/10.4230/LIPIcs.DISC.2017.51
  • Metastability-Aware Memory-Efficient Time-to-Digital Converters / Függer, M., Kinali, A., Lenzen, C., & Polzer, T. (2017). Metastability-Aware Memory-Efficient Time-to-Digital Converters. In 2017 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC). 23rd IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2017), San Diego, California, Non-EU. IEEE Computer Society. https://doi.org/10.1109/async.2017.12
  • Energy Efficient Embedded Video Processing Systems / Khan, M. U. K., Shafique, M., & Henkel, J. (2017). Energy Efficient Embedded Video Processing Systems. Springer International Publishing. https://doi.org/10.1007/978-3-319-61455-7

2016

2015

2014

2013

  • SET Propagation in Micropipelines / Polzer, T., & Steininger, A. (2013). SET Propagation in Micropipelines. In 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS2013) (p. 8). http://hdl.handle.net/20.500.12708/54998
  • Metastability Characterization for Muller C-Elements / Polzer, T., & Steininger, A. (2013). Metastability Characterization for Muller C-Elements. In 23rd International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS2013) (p. 8). http://hdl.handle.net/20.500.12708/55023
  • FATAL+HEX: Fault-Tolerant Self-Stabilizing Clock Generation+Distribution / Dolev, D., Függer, M., Hofstätter, M., Lenzen, C., Perner, M., Posch, M., Schmid, U., Sigl, M., & Steininger, A. (2013). FATAL+HEX: Fault-Tolerant Self-Stabilizing Clock Generation+Distribution. Poster Session at the CSAIL Industry Affiliates Program (CSAIL-IAP) Annual Meeting, Cambridge, MA, USA, Non-EU. http://hdl.handle.net/20.500.12708/85710
  • Gracefully Degrading Consensus and k-set Agreement under Dynamic Link Failures / Schwarz, M., Winkler, K., Schmid, U., Biely, M., & Robinson, P. (2013). Gracefully Degrading Consensus and k-set Agreement under Dynamic Link Failures (TUW-220473). http://hdl.handle.net/20.500.12708/37755
  • Supply Voltage Dependent On-Chip Single-Event Transient Pulse Shape Measurements in 90-nm Bulk CMOS Under Alpha Irradiation / Hofbauer, M., Schweiger, K., Zimmermann, H., Giesen, U., Langner, F., Schmid, U., & Steininger, A. (2013). Supply Voltage Dependent On-Chip Single-Event Transient Pulse Shape Measurements in 90-nm Bulk CMOS Under Alpha Irradiation. IEEE Transactions on Nuclear Science, 60(4), 2640–2646. http://hdl.handle.net/20.500.12708/156043
  • An infrastructure for accurate characterization of single-event transients in digital circuits / Veeravalli, V. S., Polzer, T., Schmid, U., Steininger, A., Hofbauer, M., Schweiger, K., Dietrich, H., Schneider-Hornstein, K., Zimmermann, H., Voss, K.-O., Merk, B., & Hajek, M. (2013). An infrastructure for accurate characterization of single-event transients in digital circuits. Microprocessors and Microsystems, 37, 772–791. http://hdl.handle.net/20.500.12708/156041
  • On the performance of a retransmission-based synchronizer / Nowak, T., Függer, M., & Kößler, A. (2013). On the performance of a retransmission-based synchronizer. Theoretical Computer Science, 509, 25–39. https://doi.org/10.1016/j.tcs.2012.04.035
  • Runtime verification of embedded real-time systems / Reinbacher, T., Függer, M., & Brauer, J. (2013). Runtime verification of embedded real-time systems. Formal Methods in System Design, 44(3), 203–239. https://doi.org/10.1007/s10703-013-0199-z
    Project: CEVTES (2010–2013)
  • The Effect of Forgetting on the Performance of a Synchronizer / Zeiner, M., Függer, M., Schmid, U., Kößler, A., & Nowak, T. (2013). The Effect of Forgetting on the Performance of a Synchronizer. 18th ÖMG Congress and Annual DMV Meeting, Universität Innsbruck, Austria. http://hdl.handle.net/20.500.12708/85720
  • Single Event Transient Pulse Shape Measurements by On-chip Sense Amplifiers in a Single Inverter for Intermediate Input States under Alpha Particle Irradiation / Hofbauer, M., Schweiger, K., Gaberl, W., Zimmermann, H., Giesen, U., Langner, F., Schmid, U., & Steininger, A. (2013). Single Event Transient Pulse Shape Measurements by On-chip Sense Amplifiers in a Single Inverter for Intermediate Input States under Alpha Particle Irradiation. IEEE Nuclear and Space Radiation Effects Conference (NSREC), San Francisco, California (USA), Non-EU. http://hdl.handle.net/20.500.12708/85741
  • Automated Analysis of Real-Time Scheduling using Graph Games / Chatterjee, K., Kößler, A., & Schmid, U. (2013). Automated Analysis of Real-Time Scheduling using Graph Games. In Proceedings 16th ACM International Conference on Hybrid Systems: Computation and Control (HSCC’13) (pp. 163–172). ACM. http://hdl.handle.net/20.500.12708/55031
  • Digital Late-Transition Metastability Simulation Model / Polzer, T., & Steininger, A. (2013). Digital Late-Transition Metastability Simulation Model. In Proceedings of the 16th Euromicro Conference on Digital System Design (p. 8). http://hdl.handle.net/20.500.12708/55024
  • An Approach for Efficient Metastability Characterization of FPGAs through the Designer / Polzer, T., & Steininger, A. (2013). An Approach for Efficient Metastability Characterization of FPGAs through the Designer. In 19th IEEE International Symposium on Asynchronous Circuits and Systems (p. 9). http://hdl.handle.net/20.500.12708/55021
  • A Multi-Credit Flow Control Scheme for Asynchronous NoCs / Naqvi, S. R., Najvirt, R., & Steininger, A. (2013). A Multi-Credit Flow Control Scheme for Asynchronous NoCs. In Proc. 16th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (p. 6). http://hdl.handle.net/20.500.12708/55006
  • Classifying Virtual Channel Access Control Schemes for Asynchronous NoCs / Najvirt, R., Naqvi, S. R., & Steininger, A. (2013). Classifying Virtual Channel Access Control Schemes for Asynchronous NoCs. In Asynchronous Circuits and Systems (ASYNC), 2013 IEEE 19th International Symposium on (p. 9). http://hdl.handle.net/20.500.12708/55005
  • Particle Strikes in C-Gates: Relevance of SET Shapes / Najvirt, R., Veeravalli, V. S., & Steininger, A. (2013). Particle Strikes in C-Gates: Relevance of SET Shapes. In Proceedings of the MEDIAN Workshop 2013 (p. 4). http://hdl.handle.net/20.500.12708/55003
  • Performance of Radiation Hardening Techniques under Voltage and Temperature Variations / Veeravalli, V. S., & Steininger, A. (2013). Performance of Radiation Hardening Techniques under Voltage and Temperature Variations. In Proc. 2013 IEEE Aerospace Conference (p. 6). http://hdl.handle.net/20.500.12708/55004
  • Software Composability and Mixed Criticality for Triple Modular Redundant Architectures / Resch, S., Steininger, A., & Scherrer, C. (2013). Software Composability and Mixed Criticality for Triple Modular Redundant Architectures. In Proceedings of the 2013 SASSUR Workshop (p. 4). http://hdl.handle.net/20.500.12708/55002
  • An SET Tolerant Tree Arbiter Cell / Naqvi, S. R., Steininger, A., & Lechner, J. (2013). An SET Tolerant Tree Arbiter Cell. In Asynchronous Circuits and Systems (ASYNC), 2013 IEEE 19th International Symposium on (p. 9). http://hdl.handle.net/20.500.12708/55001
  • Unfaithful Glitch Propagation in Existing Binary Circuit Models / Függer, M., Nowak, T., & Schmid, U. (2013). Unfaithful Glitch Propagation in Existing Binary Circuit Models. In 2013 IEEE 19th International Symposium on Asynchronous Circuits and Systems. 19th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2013), Santa Monica, CA, Non-EU. https://doi.org/10.1109/async.2013.9
  • Transience Bounds for Distributed Algorithms / Charron-Bost, B., Függer, M., & Nowak, T. (2013). Transience Bounds for Distributed Algorithms. In Lecture Notes in Computer Science (pp. 77–90). Lecture Notes in Computer Science. https://doi.org/10.1007/978-3-642-40229-6_6
  • Efficient Construction of Global Time in SoCs Despite Arbitrary Faults / Lenzen, C., Függer, M., Hofstätter, M., & Schmid, U. (2013). Efficient Construction of Global Time in SoCs Despite Arbitrary Faults. In 2013 Euromicro Conference on Digital System Design. 16th Euromicro Conference on Digital System Design (DSD 2013), Santander, Spain, EU. Digital System Design (DSD), 2013 Euromicro Conference on. https://doi.org/10.1109/dsd.2013.97
  • Byzantine Self-Stabilizing Clock Distribution with HEX: Implementation, Simulation, Clock Multiplication / Perner, M., Schmid, U., Lenzen, C., & Sigl, M. (2013). Byzantine Self-Stabilizing Clock Distribution with HEX: Implementation, Simulation, Clock Multiplication. In Proceedings of the 6th IARA International Conference on Dependability (DEPEND’13) (pp. 6–15). IARA. http://hdl.handle.net/20.500.12708/54927
  • The Effect of Forgetting on the Performance of a Synchronizer / Függer, M., Kößler, A., Nowak, T., Schmid, U., & Zeiner, M. (2013). The Effect of Forgetting on the Performance of a Synchronizer. In Algorithms for Sensor Systems (pp. 185–200). https://doi.org/10.1007/978-3-642-45346-5_14
  • HEX / Dolev, D., Lenzen, C., Függer, M., Schmid, U., & Perner, M. (2013). HEX. In Proceedings of the twenty-fifth annual ACM symposium on Parallelism in algorithms and architectures. SPAA ’13, Montreal, Canada, Non-EU. ACM. https://doi.org/10.1145/2486159.2486192
  • Brief announcement / John, A., Konnov, I., Schmid, U., Veith, H., & Widder, J. (2013). Brief announcement. In Proceedings of the 2013 ACM symposium on Principles of distributed computing - PODC ’13. ACM SIGACT-SIGOPS Symposium on Principles of Distributed Computing (PODC), Montreal, Kanada, Non-EU. ACM. https://doi.org/10.1145/2484239.2484285
  • Parameterized model checking of fault-tolerant distributed algorithms by abstraction / John, A., Konnov, I., Schmid, U., Veith, H., & Widder, J. (2013). Parameterized model checking of fault-tolerant distributed algorithms by abstraction. In FMCAD (pp. 201–209). http://hdl.handle.net/20.500.12708/54827
  • Towards Modeling and Model Checking Fault-Tolerant Distributed Algorithms / John, A., Konnov, I., Schmid, U., Veith, H., & Widder, J. (2013). Towards Modeling and Model Checking Fault-Tolerant Distributed Algorithms. In Model Checking Software (pp. 209–226). LNCS, Springer. https://doi.org/10.1007/978-3-642-39176-7_14
  • Muller C-Element Metastability Containment / Polzer, T., Steininger, A., & Lechner, J. (2013). Muller C-Element Metastability Containment. In Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation (pp. 103–112). Lecture Notes in Computer Science. http://hdl.handle.net/20.500.12708/54509
  • A Generic Architecture for Robust Asynchronous Communication Links / Lechner, J., & Najvirt, R. (2013). A Generic Architecture for Robust Asynchronous Communication Links. In Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation (pp. 121–130). Lecture Notes in Computer Science. http://hdl.handle.net/20.500.12708/54501
  • Final Report FWF PSRTS-Project (P20529) / Schmid, U. (2013). Final Report FWF PSRTS-Project (P20529) (TUW-235379). http://hdl.handle.net/20.500.12708/38306

2012

2011

  • Replicated processors on a single die - How independently do they fail? / Steininger, A., & Tummeltshammer, P. (2011). Replicated processors on a single die - How independently do they fail? Elektrotechnik Und Informationstechnik : E & i, 128(6), 245–250. https://doi.org/10.1007/s00502-011-0005-9
  • Brief announcement / Charron-Bost, B., Fuegger, M., Welch, J. L., & Widder, J. (2011). Brief announcement. In Proceedings of the 23rd ACM symposium on Parallelism in algorithms and architectures - SPAA ’11. SPAA ’11, San Jose, California, USA, Non-EU. ACM. https://doi.org/10.1145/1989493.1989510
  • Partial is Full / Charron-Bost, B., Függer, M., Welch, J. L., & Widder, J. (2011). Partial is Full. In Structural Information and Communication Complexity (pp. 113–124). Springer Berlin / Heidelberg. https://doi.org/10.1007/978-3-642-22212-2_11
  • Full Reversal Routing as a Linear Dynamical System / Charron-Bost, B., Függer, M., Welch, J. L., & Widder, J. (2011). Full Reversal Routing as a Linear Dynamical System. In Structural Information and Communication Complexity (pp. 101–112). Springer Berlin / Heidelberg. https://doi.org/10.1007/978-3-642-22212-2_10
  • Reconciling Fault-Tolerant Distributed Algorithms and Real-Time Computing / Moser, H., & Schmid, U. (2011). Reconciling Fault-Tolerant Distributed Algorithms and Real-Time Computing. In Structural Information and Communication Complexity (pp. 42–53). Springer Berlin / Heidelberg. https://doi.org/10.1007/978-3-642-22212-2_5
  • On the Performance of a Retransmission-Based Synchronizer / Nowak, T., Függer, M., & Kößler, A. (2011). On the Performance of a Retransmission-Based Synchronizer. In Structural Information and Communication Complexity (pp. 234–245). Springer Berlin / Heidelberg. http://hdl.handle.net/20.500.12708/54033
  • VLSI Implementation of a Distributed Algorithm for Fault-Tolerant Clock Generation / Fuchs, G., & Steininger, A. (2011). VLSI Implementation of a Distributed Algorithm for Fault-Tolerant Clock Generation. Journal of Electrical and Computer Engineering, 2011. https://doi.org/10.1155/2011/936712
    Project: DARTS (2005–2010)
  • Hardware support for efficient testing of embedded software / Reinbacher, T., Steininger, A., Müller, T., Horauer, M., Brauer, J., & Kowalewski, S. (2011). Hardware support for efficient testing of embedded software. In International Conference on Mechatronic and Embedded Systems and Applications. The 7th ASME/IEEE International Conference on Mechatronic and Embedded Systems and Applications, Washington, Non-EU. ASME. http://hdl.handle.net/20.500.12708/54082
    Project: CEVTES (2010–2013)
  • Past Time LTL Runtime Verification for Microcontroller Binary Code / Reinbacher, T., Brauer, J., Horauer, M., Steininger, A., & Kowalewski, S. (2011). Past Time LTL Runtime Verification for Microcontroller Binary Code. In Formal Methods for Industrial Critical Systems (pp. 37–51). Springer Berlin / Heidelberg. https://doi.org/10.1007/978-3-642-24431-5_5
    Project: CEVTES (2010–2013)
  • Automated test-trace inspection for microcontroller binary code / Reinbacher, T., Brauer, J., Schachinger, D., Steininger, A., & Kowalewski, S. (2011). Automated test-trace inspection for microcontroller binary code. In Runtime Verification (pp. 239–244). http://hdl.handle.net/20.500.12708/54078
    Project: CEVTES (2010–2013)
  • Easy Impossibility Proofs for k-Set Agreement in Message Passing Systems / Biely, M., Robinson, P., & Schmid, U. (2011). Easy Impossibility Proofs for k-Set Agreement in Message Passing Systems. In OPODIS’11 (pp. 299–312). Springer Berlin / Heidelberg. http://hdl.handle.net/20.500.12708/54094
  • Solving k-Set Agreement with Stable Skeleton Graphs / Biely, M., Robinson, P., & Schmid, U. (2011). Solving k-Set Agreement with Stable Skeleton Graphs. In 2011 IEEE International Symposium on Parallel and Distributed Processing Workshops and Phd Forum. International Parallel and Distributed Processing Symposium (IPDPS), Denver, Colorado, Austria. https://doi.org/10.1109/ipdps.2011.301
  • The Asynchronous Bounded-Cycle Model / Robinson, P., & Schmid, U. (2011). The Asynchronous Bounded-Cycle Model. Theoretical Computer Science, 412(40), 5580–5601. https://doi.org/10.1016/j.tcs.2010.08.001
  • Synchronous consensus under hybrid process and link failures / Biely, M., Schmid, U., & Weiss, B. (2011). Synchronous consensus under hybrid process and link failures. Theoretical Computer Science, 412(40), 5602–5630. https://doi.org/10.1016/j.tcs.2010.09.032
  • On Self-Timed Circuits in Real-Time Systems / Ferringer, M. (2011). On Self-Timed Circuits in Real-Time Systems. International Journal of Reconfigurable Computing, 2011, 1–16. https://doi.org/10.1155/2011/972375
    Project: ARTS (2007–2011)
  • On Efficient Checking of Link-reversal-based Concurrent Systems / Függer, M., & Widder, J. (2011). On Efficient Checking of Link-reversal-based Concurrent Systems. PUMA/RISE Seminar, Traunkirchen, Austria. http://hdl.handle.net/20.500.12708/85311
  • Testing microcontroller software simulators / Reinbacher, T., Gückel, D., & Horauer, M. (2011). Testing microcontroller software simulators. In Workshop on Software Language Engineering for Cyber-physical Systems. WS4C 2011, Berlin, EU. http://hdl.handle.net/20.500.12708/54083
    Project: CEVTES (2010–2013)
  • Brief Announcement: Easy Impossibility Proofs for k-Set Agreement in Message Passing Systems / Biely, M., Robinson, P., & Schmid, U. (2011). Brief Announcement: Easy Impossibility Proofs for k-Set Agreement in Message Passing Systems. In PODC’11 (pp. 227–228). ACM. http://hdl.handle.net/20.500.12708/54097
  • Precise control flow reconstruction using boolean logic / Reinbacher, T., & Brauer, J. (2011). Precise control flow reconstruction using boolean logic. In Proceedings of the ninth ACM international conference on Embedded software - EMSOFT ’11. EMSOFT2011, ACM international conference on Embedded software, Taipei, Non-EU. ACM New York. https://doi.org/10.1145/2038642.2038662
    Project: CEVTES (2010–2013)
  • Fault-Tolerant Algorithms for Tick-Generation in Asynchronous Logic: Robust Pulse Generation / Dolev, D., Függer, M., Lenzen, C., & Schmid, U. (2011). Fault-Tolerant Algorithms for Tick-Generation in Asynchronous Logic: Robust Pulse Generation. In Lecture Notes in Computer Science (pp. 163–177). Springer Berlin / Heidelberg. https://doi.org/10.1007/978-3-642-24550-3_14
  • Investigating the impact of process variations on an asynchronous Time-Triggered-Protocol controller / Ferringer, M. (2011). Investigating the impact of process variations on an asynchronous Time-Triggered-Protocol controller. In 2011 IEEE/IFIP 41st International Conference on Dependable Systems and Networks Workshops (DSN-W). Dependable Systems and Networks Workshops (DSN-W), 2011 IEEE/IFIP 41st International Conference on, Hong-Kong, Non-EU. https://doi.org/10.1109/dsnw.2011.5958834
    Project: ARTS (2007–2011)
  • Conversion and interfacing techniques for asynchronous circuits / Ferringer, M. (2011). Conversion and interfacing techniques for asynchronous circuits. In 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems. 14th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2011), Cottbus, Germany, EU. https://doi.org/10.1109/ddecs.2011.5783039
    Project: ARTS (2007–2011)
  • Conversion of two- to four-phase delay-insensitive asynchronous circuits / Ferringer, M. (2011). Conversion of two- to four-phase delay-insensitive asynchronous circuits. In 2011 IEEE EUROCON - International Conference on Computer as a Tool. EUROCON 2011, Lisbon, EU. https://doi.org/10.1109/eurocon.2011.5929318
    Project: ARTS (2007–2011)

2010

2009

2008

2007

  • FIT-IT Projekt DARTS: Dezentrale fehlertolerante Taktgenerierung / Schmid, U., Steininger, A., & Sust, M. (2007). FIT-IT Projekt DARTS: Dezentrale fehlertolerante Taktgenerierung. Elektrotechnik und Informationstechnik : e & i, 124(1–2), 3–8. https://doi.org/10.1007/s00502-006-0409-0
  • Booting Clock Synchronization in Partially Synchronous Systems with Hybrid Process and Link Failures / Widder, J., & Schmid, U. (2007). Booting Clock Synchronization in Partially Synchronous Systems with Hybrid Process and Link Failures. In Distributed Computing (pp. 115–140). Springer-Verlag. http://hdl.handle.net/20.500.12708/25412
  • Time-Multiplexed Multiple Constant Multiplication / Tummeltshammer, P., Hoe, James. C., & Pueschel, M. (2007). Time-Multiplexed Multiple Constant Multiplication. In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (pp. 1551–1563). IEEE. http://hdl.handle.net/20.500.12708/25411
  • LTCC: a fascinating technology platform for miniaturized devices / Schmid, U. (2007). LTCC: a fascinating technology platform for miniaturized devices. In T. Becker, C. Cané, & N. S. Barker (Eds.), Smart Sensors, Actuators, and MEMS III (Proceedings Volume). SPIE. https://doi.org/10.1117/12.722793
  • Graphical Microcontroller Programming (GMCP) / Jahn, P., & Polzer, T. (2007). Graphical Microcontroller Programming (GMCP). IEEE International Conference on Industrial Informatics - INDIN 2007, Wien, Austria. http://hdl.handle.net/20.500.12708/84609
  • Towards a Systematic Design of Fault-Tolerant Asynchronous Circuits / Schmid, U., Steininger, A., & Veith, H. (2007). Towards a Systematic Design of Fault-Tolerant Asynchronous Circuits. In Fachtagung Zuverlässigkeit und Entwurf (pp. 173–174). VDE Verlag. http://hdl.handle.net/20.500.12708/51805
  • A Perspective of Fault-Tolerant Clock Synchronization / Schmid, U. (2007). A Perspective of Fault-Tolerant Clock Synchronization. In IEEE Symposium on Precision Clock Synchronization for Measurement, Control and Communication. 2007 IEEE International Symposium on Precision Clock Synchronization for Measurement, Control and Communication, Vienna, Austria. http://hdl.handle.net/20.500.12708/52101
  • Clock Synchronization in the Byzantine-Recovery Failure Model / Anceaume, E., Delporte-Gallet, C., Fauconnier, H., Hurfin, M., & Widder, J. (2007). Clock Synchronization in the Byzantine-Recovery Failure Model. In International Conference On Principles Of DIstributed System (pp. 90–104). http://hdl.handle.net/20.500.12708/52073
  • Hardware Implementation of an SAD based stereo vision algorithm / Ambrosch, K., Humenberger, M., Kubinger, W., & Steininger, A. (2007). Hardware Implementation of an SAD based stereo vision algorithm. In Proceedings of Third IEEE Workshop on Embedded Computer Vision. Third IEEE Workshop on Embedded Computer Vision, Minneapolis, Non-EU. http://hdl.handle.net/20.500.12708/52065
  • Concepts and Tools for the Test of the Communication Sub-System of Time-Triggered Distributed Embedded Systems / Horauer, M., Armengaud, E., & Steininger, A. (2007). Concepts and Tools for the Test of the Communication Sub-System of Time-Triggered Distributed Embedded Systems. In ASME 2007 International Conference on Design Engineering Technical Conferences & Computers and Information in Engineering. International Conference on Design Engineering Technical Conferences & Computers and Information in Engineering (ASME), Las Vegas, Non-EU. http://hdl.handle.net/20.500.12708/52064
    Project: STEACS (2003–2008)
  • A Fail-Silent Reconfigurable Superscalar Processor / Kottke, T., & Steininger, A. (2007). A Fail-Silent Reconfigurable Superscalar Processor. In 13th Pacific Rim International Symposium on Dependable Computing (PRDC’07), Melbourne (pp. 232–239). http://hdl.handle.net/20.500.12708/52063
  • Exploring Hardware Software Partitioning on the Example of a Face Recognition System / Angerer, C., Cevan, O., Fauster, L., Huang, Y., Huber, B., Legourski, V., Pirker, S., Polzer, T., Reichhard, D., Rigler, D., Schuster, A., Weirich, B., Tummeltshammer, P., & Delvai, M. (2007). Exploring Hardware Software Partitioning on the Example of a Face Recognition System. In Austrochip - Workshop on Microelectronics (pp. 121–127). http://hdl.handle.net/20.500.12708/52062
  • The Effect of Quartz Drift on Convergence-Average based Clock Synchronization / Armengaud, E., Steininger, A., & Hanzlik, A. (2007). The Effect of Quartz Drift on Convergence-Average based Clock Synchronization. In Proceedings of the 12th IEEE Conference on Emerging Technologies and Factory Automation (pp. 1123–1130). http://hdl.handle.net/20.500.12708/52061
    Project: EXTRACT (2005–2008)
  • A Novel Interconnection Approach for Globally Asynchronous Locally Synchronous Circuits / Armengaud, E., & Forster, W. (2007). A Novel Interconnection Approach for Globally Asynchronous Locally Synchronous Circuits. In Austrochip - Workshop on Microelectronics (pp. 107–113). http://hdl.handle.net/20.500.12708/52060
  • Adopting the Scan Approach for a Fault Tolerant Asynchronous Clock Generation Circuit / Handl, T., Steininger, A., & Kempf, G. (2007). Adopting the Scan Approach for a Fault Tolerant Asynchronous Clock Generation Circuit. In Proceedings IDT’07 - The Second International Design and Test Workshop (pp. 115–119). http://hdl.handle.net/20.500.12708/52055
    Project: DARTS (2005–2010)
  • SELF-HEALING CIRCUITS FOR SPACE-APPLICATIONS / Delvai, M., & Panhofer, T. (2007). SELF-HEALING CIRCUITS FOR SPACE-APPLICATIONS. In Proceedings of 17th INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS (pp. 505–506). http://hdl.handle.net/20.500.12708/52054
  • SAFE - A Scalable Environment for Automated Transistor Level Fault Effect Analysis / Grahsl, J., Handl, T., Steininger, A., & Kempf, G. (2007). SAFE - A Scalable Environment for Automated Transistor Level Fault Effect Analysis. In Austrochip - Workshop on Microelectronics (pp. 91–98). http://hdl.handle.net/20.500.12708/52053
    Project: DARTS (2005–2010)
  • Synchronous Consensus with Mortal Byzantines / Widder, J., Gridling, G., Weiss, B., & Blanquart, J.-P. (2007). Synchronous Consensus with Mortal Byzantines. In Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks. IEEE Conference on Dependable Systems and Networks (DSN), Philadelphia, PA, USA, Non-EU. http://hdl.handle.net/20.500.12708/52033
  • Relating Stabilizing Timing Assumptions to Stabilizing Failure Detectors Regarding Solvability and Efficiency / Biely, M., Hutle, M., Penso, L. D., & Widder, J. (2007). Relating Stabilizing Timing Assumptions to Stabilizing Failure Detectors Regarding Solvability and Efficiency. In stabilization. Ninth International Symposium on Stabilization, Safety, and Security of Distributed Systems (SSS 2007), Paris, EU. http://hdl.handle.net/20.500.12708/52032
  • Tolerating Corrupted Communication / Biely, M., Charron-Bost, B., Gaillard, A., Hutle, M., Schiper, A., & Widder, J. (2007). Tolerating Corrupted Communication. In 26th ACM Symposium on Principles of Distributed Computing (PODC’07) (pp. 244–253). http://hdl.handle.net/20.500.12708/52017
  • Vergleich zweier zwischen Sicherheit und Performanz rekonfigurierbarer Prozessorsysteme / Kottke, T., & Steininger, A. (2007). Vergleich zweier zwischen Sicherheit und Performanz rekonfigurierbarer Prozessorsysteme. In 19. Workshop - Testmethoden und Zuverlässigkeit von Schaltungen und Systemen. 19. ITG/GMM Workshop Testmethoden und Zuverlässigkeit von Schaltungen und Systemen, Errlangen, EU. http://hdl.handle.net/20.500.12708/51797
  • An Efficient Test Strategy for a Fault-Tolerant Clock Generator for Systems-on-Chip / Handl, T., Steininger, A., & Kempf, G. (2007). An Efficient Test Strategy for a Fault-Tolerant Clock Generator for Systems-on-Chip. In 19. Workshop - Testmethoden und Zuverlässigkeit von Schaltungen und Systemen (pp. 66–70). http://hdl.handle.net/20.500.12708/51796
    Project: DARTS (2005–2010)

2006

2005

2004

2003

2002

2001

 

2024

2023

2022

2021

2020

2019

2018

2017

2016

2015

2014

2013

2012

2011

2010

2009

2008

2007

2006

2005

2004

2003

2002

2001

 

  • Josef Widder: FIT-IT Embedded Systems Dissertationsstipendium "Distributed Computing in the Presence of Bounded Asynchrony"
    2004 / Austria
  • Ulrich Schmid: Synchronized Universal Time Coordinated for Distributed Real-Time Systems
    1997 / START-Programm / Austria
  • Ulrich Schmid: Kardinal Innitzer Förderungspreis
    1995 / Kardinal-Innitzer-Preis / Austria

Soon, this page will include additional information such as reference projects, conferences, events, and other research activities.

Until then, please visit Embedded Computing Systems’ research profile in TISS .